Anthony Garreffa
AI Laptops & AI Desktops Editor and Tech Reporter - Adelaide, Australia
Anthony joined the TweakTown team in 2010 and has since reviewed 100s of graphics cards. Anthony is a long time PC enthusiast with a passion of hate for games built around consoles. FPS gaming since the pre-Quake days, where you were insulted if you used a mouse to aim, he has been addicted to gaming and hardware ever since. Working in IT retail for 10 years gave him great experience with custom-built PCs. His addiction to GPU tech is unwavering and has recently taken a keen interest in artificial intelligence (AI) hardware.
Editorial Responsibilities: AI laptops, AI desktops, and technology news
What's in Anthony's PC?
- CPU: Intel Core i5-12600K
- MOTHERBOARD: GIGABYTE Z690 AERO-G
- RAM: Corsair 32GB DDR4-3200
- GPU: NVIDIA GeForce RTX 4090 24GB
- SSD: Sabrent 4TB Rocket 4 Plus
- OS: Windows 11 Pro
- CASE: Lian Li O11 Dynamic XL
- PSU: ASUS ROG Strix 850W
- KEYBOARD: Logitech G915 Wireless
- MOUSE: Logitech G502X Wireless
- MONITOR: LG C3 48-inch OLED TV 4K 120Hz
Anthony Garreffa has written 26711 pieces of content
- May 2024
- This DIY user built his own 256-core RISC-V Megacluster CPU running at 14.7GHz
- AMD's high-end Ryzen AI 'Strix Halo' APUs spotted: 16C/32T Zen 5, 120W TDP, 64GB memory
- Commodore 64 PC runs AI to generate images: 20 minutes per 90 iterations for 64 pixels
- Synopsys and Samsung achieve production tapeout of next-gen Exynos SoC on new 3nm process node
- US Commerce Secretary: China seizing TSMC would be 'absolutely devastating' for US economy
- Corsair launches MP700 PRO SE PCIe 5.0 SSDs: up to 4TB capacity, up to 14GB/sec read speeds
- NVIDIA GeForce RTX 5090 and RTX 5080 will be available within a few weeks of each other
- EA CEO says next-gen Battlefield has 'the largest Battlefield team in franchise history'
- Intel places orders to secure ALL of ASML's High-NA EUV machines built this year
- China's imports from Netherlands skyrocket 32% in April, and we all know what they're buying
- AMD Ryzen AI 165 'Strix Point' APU teased with 10 cores based on next-gen Zen 5
- AYANEO's new AG01 'Graphics Starship' is its first external GPU solution, coming soon
- NVIDIA testing 250W to 600W coolers for next-gen GeForce RTX 50 series GPUs
- This external GPU dock has OCulink and a built-in 550W power supply, supports huge GPUs
- ASUS unveils ROG Ally X: same Ryzen Z1 Extreme APU, over 16GB RAM, larger battery, and more
- NVIDIA's next-gen R100 AI GPU: TSMC 3nm with CoWoS-L packaging, next-gen HBM4 in Q4 2025
- Microsoft plans to shift to MT/s memory speed instead of MHz in new Windows 11 update
- VESA announces DisplayHDR 1.2 specifications with tighter performance requirements
- Minisforum AtomMan X7 Ti Mini-PC: Core Ultra 9 185H CPU, touchscreen, video camera, OCulink
- Sabrent launches new Rocket NANO 1TB 2242 DRAM-less Gen4 SSD: up to 5GB/sec
- Sabrent's new USB-DS12: USB-C to SATA and IDE adapter for old school HDDs
- ASUS plans 14 next-gen ROG, TUF, ProArt laptops with AMD's new Zen 5-based Strix Point APUs
- Intel partners with 14 companies in Japan to make new tech, automate semiconductor packaging
- Meta AI boss confirms the company has purchased around $30 billion worth of NVIDIA AI GPUs
- Lenovo teases AMD's next-gen Ryzen 8050 Strix Point APU inside its ThinkPad T14 Gen 5 laptop
- AMD's new Strix Point APU are reportedly called Ryzen AI 9 HX 170, big name changes with Zen 5
- NVIDIA's next-gen GeForce RTX 5080 rumored to now launch BEFORE the RTX 5090
- Apple is working on its own chip to run AI software in its data center servers
- Samsung establishes dream team of engineers to win AI chip orders from NVIDIA
- PureStorage teases 150TB SSD modules are being tested, 75TB modules now shipping
- TSMC to expand advanced packaging capacity at 3 plants: CoWoS, SoIC, SoW for AI GPU demand
- HBM prices to increase 5-10% in 2025, while DDR5 prices to increase 15-20% for PC gamers
- NVIDIA and AMD have reserved all of TSMC's CoWOS and SoIC advanced packaging for 2024 and 2025
- This modder added a second display to ASUS ROG Ally gaming handheld
- AMD Ryzen 9 7950X3D spotted with dual 32MB 3D V-Cache stacks, huge 192MB total cache
- The next Tomb Raider in development rumored to be a 'fully open world' game, set in India
- Intel's next-gen Z890 motherboard details: native Thunderbolt 4, ready for Arrow Lake CPUs
- NVIDIA CEO says its secret sauce is one part persistence, resilience, belief and a huge vision
- Nscale becomes first AI GPU cloud provider to use AMD's new Instinct MI300X accelerators
- Intel's next-gen Battlemage Xe2 and Celestial Xe3 GPUs rumored to be delayed, even cancelled
- Unreal Engine 5.4 industrial factory tech demo brings RTX 4080 to its knees at 4K
- This new type of memory was demoed running at a scorching 600C for 60 hours
- Intel's next-gen flagship Core Ultra 9 285K 'Arrow Lake' CPU rumored to hit 5.5GHz
- Global data generation: 660ZB by 2030, so SK hynix pre-announces insane 300TB future SSD for AI
- NVIDIA's next-gen GeForce RTX 5090 and RTX 5080 Laptop GPUs rumored to BOTH have 16GB VRAM
- Micron is the first to ship high-end DDR5 RDIMM memory for AI data centers, at up to 8000MT/s
- SK hynix expects HBM memory chip revenues of over $10 billion in 2024
- NVIDIA DGX GB200 AI servers expected to sell 40,000 servers in 2025, mass production in 2H 2024
- Corsair One i500 Mini Gaming PC: new design, Core i9-14900K, RTX 4080 SUPER, costs $3600
- SK hynix says most of its HBM for 2025 is sold out already, 16-Hi HBM4 coming in 2028
- Targus USB4 Triple Video Docking Station with 100W power: ready for your laptop
- PCI-SIG CopprLink cable specs for internal, external PCIe 5.0 and PCIe 6.0 connections
- NVIDIA rumored to ONLY launch GeForce RTX 5090 this year, possible unveiling at Computex 2024
- Nintendo Switch 2: docked mode could hit 4 TFLOPs, will be clocked 'crazy low' in handheld mode
- AMD just turned 55 years old: from making CPUs for Intel, to Ryzen and EPYC beating Intel
- NVIDIA ChatRTX updated: new models, voice recognition, media search, and more with AI
- NVIDIA says RTX is the 'premium' AI PC platform, NPUs are for 'basic' AI PCs
- Intel Core Ultra CPU flexes its NPU muscle: AI runs over 500 models optimized
- Intel Arrow Lake-S CPU details: Core Ultra 9 285K is the successor to the Core i9-14900K
- AMD's next-gen Strix Halo LP teased: 6-8 cores, 20 CUs, perfect for next-gen gaming handhelds April 2024
- Elon Musk lays off senior Tesla executives, even a production supervisor who lived in his car
- AMD CEO Dr. Lisa Su named 2024 CEO of the Year by Chief Executive Magazine
- China's black market price of NVIDIA's H100 AI GPU has plummeted, H200 is coming soon
- Samsung's new Apple Vision Pro competitor will use OLEDoS panel from Sony for its XR headset
- AmpereOne-3 CPU teased: 256 cores, TSMC 3nm process node, PCIe 6.0 support, 12-channel DDR5
- MSI Claw gaming handheld gets firmware, GPU driver updates: up to 150% performance increase
- Apple's next-gen iPad Pro rumor: next-gen M4 chip, and a 'huge focus' on AI
- Italian government plans $10 billion investment into semiconductor industry in 2024
- TSMC's 2024 revenue expected to hit reach a gigantic $87 billion, with $10+ billion from AI
- NVIDIA rumored to build new R&D center in Taiwan, after first AI R&D center is a huge success
- AMD's cancelled high-end Radeon RX 8900 XTX had 50% more shader engines than 7900 XTX
- Corsair One i500 SFF gaming PC with 'next-gen' components will be unveiled on May 6
- Analyst says NVIDIA will generate 80x more revenue from AI GPUs in 2024 than Intel
- This idiot-proof thermal paste applicator will make applying TIM easy, even for noobs
- MSI no longer interested in under performing AMD Radeon GPUs, now exclusive to NVIDIA
- SDC's new 27-inch 4K 165Hz Nano-IPS monitor features DisplayPort 2.0, costs just $415
- Sabrent wants you to enhance your tech with special savings on SSD, RAM, and enclosure bundle
- NVIDIA CEO writes hand-written note to SK hynix boss on 'future of AI and humanity together'
- TSMC's next-gen COUPE tech: silicon photonics packaging will be ready in 2026
- AMD Ryzen 9050 'Strix Halo' APU leak: 16 Zen5 CPU cores, RDNA 3.5 GPU, 32MB of MALL cache
- Sabrent wants to enhance your PS5: get a FREE heatsink with your Rocket 4 SSD purchase
- NVIDIA CEO Jensen Huang will deliver live keynote on June 2, just as Computex 2024 kicks off
- Lenovo ThinkPad P1 Gen 7 laptop: world's first mobile AI workstation with LPCAMM2 memory
- HP snuggles up to AMD for its OMEN 17 gaming laptop: rocks Ryzen 8040 'Hawk Point' APU with AI
- SK hynix plans $14.6 billion on new chip fab in South Korea to meet 'soaring demand' of HBM
- Tesla unveils new Model 3 Performance: 0-60 mph in 2.9 seconds, 163 mph top speed
- Qualcomm details its Snapdragon X Elite: all SKUs have NPU with 45 TOPS for AI workloads
- NVIDIA is helping Japan build their bleeding-edge ABCI-Q quantum supercomputer with HPC and AI
- TSMC doesn't need ASML's new High-NA EUV lithography machines for its next-gen A16 process
- ASML signs letter of intent in Eindhoven: 20,000+ new staff in the Netherlands
- NVIDIA's next-gen GB200 AI server chips will go into mass production in September
- Samsung 9th Gen V-NAND enters mass production: 33% faster than 8th Gen V-NAND
- Mechrevo's reveals external water-cooled RTX 4080 and RTX 4090-powered gaming laptops
- SAPPHIRE NITRO+ B650I Wi-Fi Ultra Platinum: the company's first AMD AM5 motherboard
- TCL unveils flagship Q10K Mini-LED TV family: up to 98-inch 4K at 144Hz refresh
- HighPoint's new PCIe Gen5 SSD PCIe card supports 32 SSDs, up to 960TB Gen5 SSD storage per slot
- Samsung announces next-gen HBM4 memory: higher capacity, speeds, 3D packaging tech
- Samsung begins emergency mode: all Samsung execs to now work 6 days a week
- Meteorologist warns of future 'weather wars' using cloud seeding after torrential rain in Dubai
- NVIDIA AI GPUs trained Meta's new Llama 3 model for the cloud, edge, and RTX PCs
- Meta launches early versions of Llama 3 to fight with OpenAI and Google in the AI battle
- AI startup: AMD Instinct MI300X is 'far superior' option to NVIDIA Hopper H100 AI GPU
- Samsung unveils world's fastest LPDDR5X memory: 10.7Gbps speeds, 32GB capacities per package
- SK hynix and TSMC to work together with HBM4 and next-gen semiconductor packaging technology
- Intel finishes assembly of ASML's first High-NA EUV tool, ready for Intel 14A process in 2025
- Intel Granite Rapids-AP CPU: LGA 7529 socket, up to 128 cores, up to 500W power
- NVIDIA and Foxconn expect results this year for AI factories, smart manufacturing, AI smart EVs
- ASML ships its second High-NA EUV lithography machine to a mystery client, Intel was first
- NVIDIA's new SFF gaming PC ecosystem could be a test for a GeForce-powered console
- Micron to get $6.1 billion in US funding for chip plants in New York, Idaho
- Enthusiasts are building a custom 3dfx VoodooX graphics card with 32MB RAM, DVI output
- Intel Lunar Lake-V CPUs: 8-core max, 8 Xe2 GPU cores, 32GB LPDDR5X, 17-30W TDP
- NVIDIA unveils two new single-slot Ampere-based RTX A1000, A400 workstation GPUs
- Microcenter's trade-in system values the GeForce RTX 4090 with just $700 trade-in value
- NVIDIA's new Blackwell AI GPUs drives TSMC to increase CoWoS output by over 150% in 2024
- TSMC helped Taiwan avoid power outage: 200MW of power provided with diesel power generators
- Intel uses in-house AI tools to optimize SoC layouts from 6 weeks, to just 'minutes'
- Sony's upgraded PS5 Pro is real, and developers are getting ready for it right now
- Intel rumored to launch cut-down AI chips for China, just like NVIDIA did with its AI GPUs
- NVIDIA A100 AI GPU in beefed-up, higher-spec form found in China: more specs than 'normal' A100
- AMD teams with Vindral to showcase 8K 10-bit HDR live streaming with ultra-low latency
- IMAX teases its next-gen film camera: 30% quieter than existing IMAX film camera, and more
- Intel's next-gen 'Beechnut City' validation platform: ready for Xeon 6 Granite Rapids CPU
- US government to give Samsung up to $6.4 billion for Texas, USA fabs, R&D center
- Samsung co-CEO visits Taiwan in 'low-key manner' to promote HBM, meets with TSMC
- Overwatch 2 Season 10 'Venture Forth' launches tomorrow, check out the trailer here
- Spectra Cube tape storage solution for cloud providers: mind-boggling 75,000TB storage library
- RTX 4090s are still melting: GPU repairer is fixing 200 faulty RTX 4090s per month
- Sony confirms PlayStation 5 Pro by filing copyright strike against leakers video on PS5 Pro
- SK hynix has 'high hopes' for its advanced packaging plant in the US
- Download the Final Fantasy XIV Dawntrail benchmark here, test your CPU and GPU
- MSI's new AGESA update adds support for AMD's next-gen Zen 5-based Ryzen 9000 series CPUs
- ASUS upgrades ROG NUC with RTX 4070 SUPER, small 10-liter SFF PC lands in China
- Jim Keller laughs at $10B R&D cost for NVIDIA Blackwell, should've used ethernet for $1B
- Blackmagic's new URSA Cine 12K camera shoots 12K video at 90FPS for $15,000
- LG expands self-developed on-device AI chip, will go into 46 products in LG's product families
- Overwatch 2 is finally punishing players who leave mid-match, more tweaks with Season 10
- Corsair unveils its first DDR5 workstation RAM with new WS DDR5 RDIMM ECC memory kits
- NVIDIA's next-gen GeForce RTX 5090, RTX 5080 rumored for Computex 2024 reveal
- NVIDIA H100 AI GPU lead times improve: 4-month wait is now 2-3 month wait
- AMD Ryzen 9 9950X CPU tested: Zen 5 chip is 46% faster in Cinebench R24 than Ryzen 9 7950X
- Intel is 'wooing' TSMC's market supply chain to help its own foundry business
- Meta's next-gen in-house AI chip is made on TSMC's 5nm process, with LPDDR5 RAM, not HBM
- AMD's upgraded Instinct MI350 with newer 4nm node, HBM3E rumored for later this year
- Corsair teams with Yeston for new Vengeance DDR5 RAM that matches Yeston Sakura GPUs
- Intel's new Meteor Lake-PS processors: LGA 1851 socket, Arc GPU, NPU for AI for edge computing
- Intel's next-gen LGA 1851 socket spotted: ready for Arrow Lake-S CPUs later this year
- TSMC's top 3 customers in 2023: Apple with 25%, NVIDIA with 11%, and AMD with 7%
- Microsoft announces $2.9 billion investment in AI and cloud infrastructure for Japan
- Check out these self-heating, fingertip-less compression gaming gloves for pro gamers
- Ubisoft chooses Intel as CPU partner for Star Wars Outlaws, includes optimizations for 14th Gen
- Samsung and SK hynix are slowing down investments in South Korea after huge pledges to the USA
- Intel announces Gaudi 3 AI accelerator: 128GB HBM2e at up to 3.7TB/sec, up to 900W power
- Intel says its next-gen Lunar Lake CPU will have 100+ TOPs of AI performance
- Elon Musk says AGI will be smarter than the smartest humans by 2025, 2026 at the latest
- Elon Musk says training next-gen Grok 3 will require 100,000 NVIDIA H100 AI GPUs
- Samsung announces it has manufactured a sample of 16-stack HBM for AI GPUs
- LG Energy starts construction of its second battery factory in Arizona, USA
- Snapdragon 8 Gen 3 runs Red Dead Redemption 2 through emulator at 10FPS on REDMAGIC 9 Pro
- US to provide Samsung with up to $6.6 billion chip subsidy for Texas expansion
- AMD teams with Arena to optimize, enhance next-gen Radeon GPUs using AI
- Elon Musk has between 30,000 and 350,000 x NVIDIA H100 AI GPUs training Tesla and xAI
- TSMC receives $11.6 billion from US government, plans 2nm nanosheets made in the USA by 2030
- TCL unveils two new high-end Mini-LED gaming monitors: 4K 160Hz and 3440 x 1440 170Hz
- NVIDIA to unveil top-end GeForce RTX 5090, and high-end RTX 5080 at first later this year
- Samsung wins advanced chip packaging order from NVIDIA for AI GPUs, TSMC isn't enough
- US demands ASML stop servicing its machines already sold to Chinese customers
- NVIDIA hikes price of entry-level RTX 4060, RTX 3050, GTX 1650 by 10% with AIBs to benefit
- This battery-powered AIO workstation is made from Framework laptop and 3D printed parts
- Intel layoffs hit the Sales and Marketing division, as AMD cooks up its new Zen 5 CPUs
- AMD's next-gen Zen 5 'Granite Ridge' CPU spotted in the flesh: 8 cores, 16 threads of Zen 5
- South Korea to build 6 global tech cooperation centers: 5 in US universities, 1 in Germany
- Elon Musk says Tesla will unveil self-driving 'Robotaxi' on August 8
- This AIO super-workstation packs 128-core CPU, dual NVIDIA GPUs, 2TB RAM, and 8TB SSD
- GIGABYTE's new Z790 AORUS XTREME X ICE motherboard features a golden LGA 1700 socket
- AMD's next-gen Zen 5 to get 15-25% average IPC uplift, 'will NOT be close to 40%' rumors
- Overclocker 'Splave' uses ASRock's new Z790I Lightning Wi-Fi board: 4 new OC world records
- Maxio's new PCIe 5.0 SSD controller: up to 14.8GB/sec reads, up to 16TB capacities
- MechWarrior 5: Clans teased with gorgeous Unreal Engine 5 technology
- NVIDIA does not expect 'any impact on' chip supply from TSMC after Taiwan earthquake
- ASUS AM5 mobos get next-gen Zen 5 'Granite Ridge' CPU support with new BIOS
- TSMC took just 10 hours after Taiwan's M7.5 earthquake to resume 70-80% operations
- Patriot teams with MSI on new white-themed Viper Xtreme DDR5 RAM for MSI MPOWER motherboard
- US government is adjusting export regulations for China, RTX 4090 D and H20 AI GPUs now banned
- SK hynix confirms $3.87 billion advanced packaging plant, R&D facility for AI in Indiana, USA
- PCIe 7.0 specs released: 512GB/sec bandwidth total, next-gen PCIe 7.0 SSDs will pump 128GB/sec
- The Matrix 5 announced: resurrected, reloaded, refreshed with Drew Goddard directing
- ASUS unveils ROG Mjolnir: a new outdoor power station for PC gamers, looks like Thor's hammer
- TSMC to begin pilot program at its Arizona, USA fab plant for mass production by end of 2024
- 7.5 magnitude earthquake hits Taiwan: multiple buildings have fallen, tsunami warning for Japan
- SK hynix is now the second biggest company in South Korea by market value, only behind Samsung
- Samsung shows off next-gen 3D DRAM tech, should hit the market after 2030
- TSMC is preparing partnership with Kyushu University in Japan to recruit new talent
- TSMC executives outline how they'll get to a monster 1 trillion transistor GPU
- Intel Arrow Lake CPUs will be Core Ultra 200 series, Raptor Lake-H Refresh is Core 200H series
- ASUS ROG Ally with Ryzen Z1 non-Extreme APU drops to just $299
- Minisforum's new AtomMan AI Mini-PC is liquid-cooled with AMD 'Hawk Point' APU
- Minisforum V3 tablet with AMD Hawk Point APU released for $960
- DARPA boss says the US is using AI in 70% of its programs, AI-powered F16 fighter jets tested
- Sabrent's new Rocket 4 Gen4 SSDs refreshed: now DRAM-less, single-sided 7GB/sec+ beasts March 2024
- NVIDIA buys Xbox brand from Microsoft: next-gen Xbox has dual GPUs, AI to fight PlayStation 6
- Samsung's next-gen Mach-2 AI accelerator chip gets development speed up, coming sooner
- Ex-Google chip designers launch MatX startup: will develop AI chips specifically for LLMs
- Hackers using AI-powered attacks: listening to your keyboard, learns your passwords by typing
- Samsung forms dedicated 'HBM team' to boost AI memory chip production to beat SK hynix
- Microsoft and OpenAI team up for $100 billion AI supercomputer codenamed Stargate
- PlayStation 5 Pro games to get 'PS5 Pro Enhanced' label: upscale to 4K, constant 60FPS games
- Amazon to spend $150 billion on datacenters for expected 'explosion in demand' for AI
- ASUS ROG STRIX GeForce RTX 4090 D gets unlocked 600W, now faster than RTX 4090
- COLORFUL unleashes black-and-gold themed GeForce RTX 4070 Ti 'Fog Hill of Five Elements' GPU
- Dutch government to spend $2.7B on enhancing Eindhoven region, to keep ASML in the Netherlands
- PUBG Mobile will soon be playable at 120FPS on Samsung Galaxy S24 Ultra, S23 Ultra
- Sabrent celebrates World Backup Day with up to 33% of all storage products
- AMD's new Ryzen 'Granite Ridge' desktop CPUs with Zen 5 appear in shipping manifesto
- Amazon AWS will join Google and Microsoft with Taiwan-based data centers in 2024
- South Korean government considering semiconductor subsidies for the first time
- South Korean search giant Naver moves from NVIDIA, orders $752 million of AI chips from Samsung
- Blizzard makes Overwatch 2's new hero 'Venture' playable for FREE this weekend
- Chinese brand VASTARMOR launches Radeon RX 7900 XTX Super Alloy, highest 7900 XTX boost clock
- ZOTAC unveils new AI-powered ZBOX Mini PCs with Intel and AMD AI CPU options
- Quanta Computer to make NVIDIA GB200-based AI servers for Google, Amazon, and Meta
- Russian President Vladimir Putin orders Russian-made gaming system, like Xbox and PlayStation
- PCIe 6.0 connectivity demoed at NVIDIA GTC 2024: ready for Blackwell B100, B200, GB200 AI GPUs
- China president: we don't need ASML, 'no force can stop the pace of China' tech development
- MAINGEAR PRO AI workstation PC with Phison aiDaptiv+ platform: uses SSDs, DRAM to boost AI perf
- Introspect ships the world's first GDDR7 memory test system, with up to 40Gbps GDDR7
- NVIDIA's new Hopper H200 AI GPU tested: 3x faster GenAI with TensorRT-LLM in MLPerf 4.0 results
- Cocoa bean price hits record high: $10,000 per metric ton, biggest shortfall in over 60 years
- Intel's next-gen Lunar Lake-MX spotted: new CPU cores, Xe2 GPU, and on-package LPDDR5X memory
- LG invests $74 billion in South Korea until 2028: AI, biotech, batteries, clean tech, displays
- SK hynix plans $4 billion advanced chip packaging facility for Indiana, USA
- Intel's next-gen Lunar Lake CPU spotted inside of Samsung's new Galaxy Book5 Pro laptop
- Microsoft names Pavan Davuluri as its new Windows and Surface chief starting today
- Qualcomm's new Snapdragon X Elite SoC tested: runs Baldur's Gate 3 at 1080p 30FPS or so
- OpenAI Sora video tool large-scale deployment uses 720,000 NVIDIA H100 GPUs worth $21.6 billion
- TSMC gets 3nm orders from Apple, Intel and AMD: for iPhone 16, Lunar Lake CPUs and Zen 5 CPUs
- AMD's previous-gen Vega GPU reborn: Chinese company uses Intel CPU with Vega GPU for NAS mobo
- Samsung's next-gen GDDR7 official listing: next-gen GPUs to get 28Gbps or 32Gbps GDDR7
- Google, Intel, Qualcomm fighting NVIDIA's dominance on AI GPUs, with CUDA software alternative
- TinyCorp's new TinyBox AI system: AMD AI GPU system starts at $15K, NVIDIA GPU starts at $25K
- Intel's next-gen Battlemage Xe2-HPG GPU with up to 24 GPU cores, 12GB VRAM spotted
- Apple's original 4GB iPhone considered 'Holy Grail' for collectors, auctioned for $130,000
- China's AI robot tested on walk through rough forest terrain: stumbles, trips, but doesn't fall
- Intel's upcoming Meteor Lake-PS processors will be the first to use new LGA 1851 socket
- AMD's next-gen EPYC 9845 'Turin' CPU: 160 cores, 320 threads with 640MB cache, 500W power
- Broadcom shows off absolutely gigantic AI chip, new XPU design for 'consumer AI company'
- SK hynix plans $90 billion on 'world's largest mega fab complex' fully complete in 2046
- Formula 1 management shocked to learn team used Excel for 20,000-part inventory
- AMD reconfirms Zen 5-powered Strix Point APUs launching in 2024, RDNA 3+ and XDNA 2 confirmed
- Micron teases tall form factor 256GB DDR5-8800 memory sticks for next-gen servers
- Intel CEO Pat Gelsinger publicly invites Tesla CEO Elon Musk for a tour of semiconductor fab
- Chinese firm unveils RISC-V powered Gen5 SSD controller: fanless design with 14GB/sec+ speeds
- Intel's new fab in Germany finds 6000-year-old ancient burial ground from human sacrifice
- NVIDIA's next-gen RTX and AI GPU IP will be found in MediaTek's new Dimensity SoCs
- NVIDIA's full-spec Blackwell B200 AI GPU uses 1200W of power, up from 700W on Hopper H100
- NVIDIA CEO says future-gen DLSS 10 will have a neural rendering system, AI generating pixels
- Grand Theft Auto 6 rumored to be falling behind schedule, could be delayed until 2026
- NVIDIA announces its Omniverse Cloud now streams to the Apple Vision Pro headset
- China is 'on track to be ready to invade Taiwan by 2027' top US admiral testifies
- NVIDIA CEO says the company needs to 'create more resilience in our supply chain' for AI GPUs
- Micron's entire HBM supply sold out for 2024, and a majority of 2025 supply already allocated
- SK hynix GDDR7 memory spotted at NVIDIA GTC 2024: 16-24Gb, up to 40Gbps, up to 160GB/sec
- AOC's new AGON PRO 44.5-inch 3440 x 1440 @ 240Hz OLED gaming monitor for sim racing costs $1399
- Intel's next-gen Arrow Lake-H, extreme laptop CPU pictured: CPU, GPU, SoC, I/O Tile teased
- Intel has 'A LOT' riding on next-gen Arrow Lake APUs, could be delayed into 2025
- NVIDIA's next-gen Vera Rubin AI GPU rumored for mid-2025, compete with AMD Instinct MI400X
- Remedy says Max Payne 1 and 2 Remake have a 'similar development budget' as Alan Wake 2
- Intel gets $19.5 billion in funding from CHIPS Act for US semiconductor manufacturing
- Samsung AGI Computing Labs in US and South Korea to build completely new semiconductor for AGI
- NVIDIA is qualifying Samsung's new HBM3E chips, will use them for future B200 AI GPUs
- Meta orders NVIDIA's next-gen Blackwell B200 AI GPUs, shipments expected later this year
- Corsair's new white RMx SHIFT 750W to 1200W PSUs have side-mounted connectors
- Microsoft's new Xbox development kit spotted, monster console at South Korea regulatory office
- PlayStation 5 Pro's new PSSR to radically improve image quality: has 1.2GB more RAM for games
- AMD Radeon RX 5600 XT modded: 6GB to 16GB, memory bus bumped to 256-bit
- HBM supply growth estimated at 260% in 2024, consumes 14% of the DRAM industry
- NVIDIA adds generative AI into cuLitho: game-changing 60x speed up for chipmakers like TSMC
- SK hynix begins volume production of HBM3E, ready for NVIDIA's next-gen Blackwell B200 AI GPU
- NVIDIA CEO: our next-gen Blackwell GPU will cost $30,000 to $40,000 each
- NVIDIA spent $10 billion on developing its next-generation Blackwell GPU
- Intel delays launch of Ohio fab plant from 2025, now expected somewhere in 2027-2028
- ASUS announces ROG Strix XG27UCS: 27-inch 4K 160Hz gaming monitor for just $449
- Samsung shows off next-gen GDDR7 at 32Gbps, with 28Gbps GDDR7 for GeForce RTX 50 series GPUs
- NVIDIA creates Earth-2 digital twin: generative AI to simulate, visualize weather and climate
- Honor reveals the first laptop with 24GB RAM, also packs Intel Core Ultra 'Meteor Lake' CPU
- GIGABYTE teases DGX, Superchips, PCIe cards based on NVIDIA's new Blackwell B200 AI GPUs
- Sony's next-gen PlayStation 6 should hit 4K 120FPS or 8K 60FPS thanks to PSSR upscaling tech
- TSMC rumored to build new CoWoS advanced packaging facility in Japan, only place outside Taiwan
- NVIDIA's new Project GROOT: fully humanoid robot to compete against Tesla Optimus AI robot
- NVIDIA's new Blackwell-based DGX SuperPOD: ready for trillion-parameter scale for generative AI
- NVIDIA GB200 Grace Blackwell Superchip: 864GB HBM3E memory, 16TB/sec memory bandwidth
- NVIDIA's next-gen Blackwell AI GPU: multi-chip GPU die, 208 billion transistors, 192GB HBM3E
- ZOTAC's new HPC server can take 2 x Intel Xeon CPUs, 10 x GPUs and 12,000W of power
- ASUS ROG NUC compact gaming PC costs $2500 with Core Ultra 9 185H and RTX 4070
- Helldivers 2 has spread Democracy to over 8 million gamers so far
- ASUS ROG Maximus Z790 HERO BTF mobo launched: hidden power connectors for $870+
- Chris Roberts says Star Citizen 1.0 release 'twinkles on the horizon'
- PlayStation 5 Pro features next-gen RDNA 4-based ray tracing engine, allows 2-3x faster RT
- Intel survives US sanctions on China: can continue to provide Huawei with chips, but AMD can't
- Samsung gearing up for 'SSD subscription' model at NVIDIA GTC 2024 with 'PBSSD'
- Intel's new Core i9-14900KS with direct die cooling: all-core 6.0GHz overclocking
- NVIDIA's new B100 AI GPU rumor: 2 x dies, 192GB of HBM3E memory, while B200 has 288GB HBM3E
- Sony's upgraded PlayStation 5 Pro console features PlayStation Spectral Super Resolution (PSSR)
- PGL CS2 tournament uses Ryzen 7 7800X3D CPU and GeForce RTX 4080 GPU for esports champs
- ASUS announces some of its motherboards now support 256GB of DDR5 memory
- PlayStation 5 Pro rumored to be 45% faster than standard PS5, 2-3x faster in ray tracing
- Intel's new Core i9-14900KS already overclocked to record-breaking 9117MHz
- Pentagon says no to Intel's $3.5 billion CHIPS Act grant, Department of Commerce to step in
- Thermaltake's new MS-1 M.2 SSD cooler features 8000RPM fan, heat pipe, and heatsink
- MAINGEAR's new ZERO desktop PC with hidden motherboard cables is now available, starts at $1399
- Kung Fu Panda 4 is the first animated movie in High Frame Rate at 48FPS in select cinemas
- Samsung Display's next-gen OLED plant will spin into full-scale production in 2026
- SK hynix was the initial exclusive supplier of HBM3 to NVIDIA, Samsung and Micron catching up
- Intel shipped 50 million CPUs in Q4 2023 alone, 6x as much as AMD
- TCL's next-gen X11H Max home cinema TV is a monster 163-inch TV that costs $110,000+
- NVIDIA projected to make $130 billion from AI GPUs in 2026, which is 5x higher than 2023
- This new pocket-sized PC features an Intel N100 'Alder Lake-N' CPU, fanless design
- AMD Ryzen 9 7950X used by cryptominers, making $3 per day in profit from their CPUs
- Samsung to use MR-MUF technology, like SK hynix, for its future-gen HBM products
- Seasonic introduces upgraded 12V-2x6 (H++) 600W power cables for its PSUs
- JEDEC chills on next-gen HBM4 thickness: 16-Hi stacks with current bonding tech allowed
- Cerebras Systems unveils CS-3 AI supercomputer: can train models that are 10x bigger than GPT-4
- Cerebras WSE-3 wafer-scale AI chip: 57x bigger than largest GPU with 4 trillion transistors
- LG, Samsung and SK suspend US construction, spiralling costs causing problems
- Micron HBM3E for NVIDIA's beefed-up H200 AI GPU has shocked HBM competitors like SK hynix
- Crucial has new 12GB DDR5 memory, sits between 8GB and 16GB DDR5 memory
- Helldivers 2 players see flying bugs, dev calls them 'bug sympathizers' adds 'bugs can't fly'
- UK surgeons use Apple Vision Pro during surgery to 'eliminate human error'
- Dutch government kicks off 'Operation Beethoven' to stop ASML from moving to another country
- Intel Lunar Lake multi-threaded performance without HT at 17W: 1.5x faster than Meteor Lake-U
- Sabrent's new Rocket 5 SSD is now available on Amazon, enjoy 14GB/sec reads today!
- Helldivers 2 has sold 'way more' than 5 million copies, and it's not even on Xbox yet
- NVIDIA CEO Jensen Huang says next-gen DGX AI system will be liquid cooled
- Blizzard teams with Porsche for Overwatch 2 Season 10 with all-electric Porsche Macan D.Va mech
- Video might have killed the radio star, but Biden's DEI is killing the CHIPS Act for US tech
- ZOTAC's new RTX 4090D PDF reviewed: the longest graphics card on the market
- Helldivers 2 mechs are here: the EXO-45 Patriot features rocket pods, and a minigun
- NVIDIA GeForce RTX 50 series GPUs: same memory interface as RTX 40 series, with next-gen GDDR7
- Helldivers 2 future update will make hardest enemies 'easier to bring down'
- V-Color's new RDIMM RAM for AMD Threadripper 7000 series CPUs: 768GB kits START at $4840
- Helldivers 2's new Warbond is called Cutting Edge: new armor, weapons coming soon
- TSMC's older 28nm node used to shrink new temperature control chip for quantum computing
- SK hynix investing a further $1 billion to lead in HBM memory for future-gen AI GPUs
- Antarctic research team pumps out real-time 8K video from Antarctica using Starlink
- Intel Core i9-14900KS delidded: 10C temperature reduction, and insane 432W power
- The first-gen GDDR7-based graphics cards will use 16Gb dies, 2GB minimum, and 32Gbps speeds
- Analyst: NVIDIA is the 'kingmaker' with projected $87 billion in AI, data center GPUs in 2024
- Samsung expected to unveil world's first HBM3e 12-High memory at NVIDIA GTC 2024
- AMD now allows Ryzen AI CPUs and Radeon RX 7000 GPUs to run localized AI chatbots using LLMs
- SK hynix reportedly supplied new 12-layer HBM3E memory samples to NVIDIA last month
- Ghost of Tsushima Director's Cut launches DLSS3, FSR3, XeSS support on the PC on May 16
- Intel's new special edition Core i9-14900KS processor launches on March 14, runs at 6.2GHz
- ADATA's new PCB thermal coating reduces DDR5-8000+ OC temps by over 10%
- Insatiable AI GPU demand sees LiquidStack form new liquid cooling manufacturing plant in Texas
- Microsoft expected to unveiled its first 'AI PCs' later this month with new Surface products
- Samsung Foundry renames SF3 process node to SF2, rewrites contracts changing 3nm to 2nm
- NVIDIA's new open-source Nouveau driver for Linux: no issues with HDMI 2.1, unlike AMD
- Helldivers 2 could be the next Guitar Hero with sales not slowing, breaking industry trends
- JEDEC publishes GDDR7 memory standard: AMD and NVIDIA's next-gen graphics memory is ready
- AMD's cut-down AI GPU for China market blocked by US government
- Dune: Part Two box office so far: $82.5 million in the US, $182.5 million globally
- GPD WIN Mini gaming handheld: Ryzen 8840 or 8640U APUs with 7-inch 120Hz display
- TSMC plans huge recruitment drive with 6000 new jobs with higher salaries, job perks, and more
- MSI's new Claw gaming handheld goes on sale in the US on March 8
- Intel trying to poach South Korean chip design firms away from Samsung, build on Intel Foundry
- Intel's new video shows ASML's bleeding-edge $380 million High-NA machine getting installed
- Meta switches from TSMC to Samsung Foundry for AI chips, 'uncertainty and volatility' at TSMC
- Hacker runs GTA: Vice City on his router, using an external AMD Radeon GPU
- AMD teases next-gen AI upscaling technology, could use AI in new FSR to combat NVIDIA DLSS
- Intel's next-gen Core Ultra Series 2 'Arrow Lake' desktop CPUs: NPU, but no Hyper-Threading
- Wieland's new liquid AIO cooler doesn't have a pump, tested by OC legend Der8auer
- Samsung's next-gen 2nm-class production node will have backside power delivery, like Intel
- NVIDIA CEO Jensen Huang: AI should pass ANY human tests, exams in 5 years
- GEM12 Pro Mini PC: Ryzen APU, 75W vapor chamber cooler, built-in screen, fingerprint scanner
- HP's new Omen Transcend 32-inch OLED monitor: on-board DisplayPort 2.1 is slower than HDMI 2.1
- Intel's new Core i9-14900KS purchased early, full specs confirmed: 6.2GHz at 1.5V and it's HOT
- AMD's next-gen Zen 6 APU codenamed 'Sound Wave' teased, made on TSMC 3nm node
- NVIDIA's next-gen B200 AI GPU uses 1000W of power per GPU, drops in 2025, confirmed by Dell
- Elon Musk sues ChatGPT creator OpenAI and its CEO Sam Altman for breach of contract February 2024
- HDMI Forum rejects AMD's proposal for open source HDMI 2.1+ support, Linux issues persist
- ASRock's new 'world's smallest' server rack features NVIDIA's beefed-up H200 Superchips for AI
- The open-world Terminator game is now official: Terminator: Survivors drops on October 24
- AMD 'bid against' NVIDIA 'hard' to win Nintendo Switch 2 contract
- SK hynix VP wants to become 'total AI memory provider' for future-gen AI GPUs with HBM
- MEGA MINI Gaming G1: world's smallest Mini-PC with Meteor Lake CPU, RTX 4060, and water-cooling
- Nintendo Switch 2 handheld: Xbox Series S perf, with better ray tracing, console-optimized DLSS
- Nintendo Switch 2 hardware leak: NVIDIA chip made on Samsung 8nm, up to 16GB LPDDR5
- Helldivers 2 future updates might add playable mechs: rockets and machine guns for Democracy
- Remedy announces it's acquired the full rights to the Control franchise for $18 million
- IBM's new AI-powered SSDs can detect, and erase ransomware in under 60 seconds
- Intel and ASML achieve 'First Light' milestone with new High-NA lithography tech
- Intel's next-gen Lunar Lake CPUs to be in very limited supply in late 2024, more chips in 2025
- Intel's special edition Core i9-14900KS processor with 6.2GHz, 150W TDP confirmed by retailer
- Intel pencils in 2027 for when it'll have next-gen chips made on Intel 10A, or 1nm process node
- Intel plans to have fully AI-automated chip factories powered by 'Cobots' in the future
- Intel plans on shipping 100 million CPUs for next-gen AI PCs by 2025
- GIGABYTE details AORUS FO27Q3: 27-inch 1440p 360Hz QD-OLED gaming monitor
- NVIDIA AI GPU customers 'offloading' chips, selling hard-to-buy excess AI GPU hardware
- GPU shipments 6% over Q4 2023: 76 million GPUs shipped, biggest gain in over 25 years
- AMD confirms ultra-fast HBM3e memory is coming to Instinct MI300 refresh AI GPU
- Samsung teases industry-first 36GB HBM3e 12-Hi memory stack, coming soon
- NVIDIA is the 'GPU cartel', will delay shipments if AI GPU customers talk to AI GPU competitors
- Intel vPro technology is coming to Core Ultra 100 'Meteor Lake' AI mobile processors
- GameScent announced: AI releases real scents during your games: gunfire, explosions, more
- Sony's beefed-up PlayStation 5 Pro rumor: no AI-ready NPU, that'll be inside PlayStation 6
- DOOM is coming to Husqvarna lawnmowers: knob controller to play, 320 x 240 at 35FPS
- Intel Core i9-14900K sets new OC world record: first CPU to finish SuperPi in under 3 minutes
- Intel 18A process node sales increase, new sales from South Korean fabless chip company
- Micron announces HBM3e memory enters volume production, ready for NVIDIA's new H200 AI GPU
- Sabrent's new Rocket 5 SSD that pumps 14GB/sec into your PC is now up for pre-order
- OpenAI's recent Sora text-to-video tech has blown China away, 'cold water' on their AI dreams
- Helldivers 2 has spread democracy to over 3 million gamers so far, and it isn't slowing down
- Microsoft to detail DirectSR (Super Resolution) at GDC 2024 on March 21
- Intel Arrow Lake-S rumored with Xe-LPG GPU, Arrow Lake-H receives Xe-LPG+ GPU
- FurMark 2 has officially launched: melt your GPU by trying it out now
- NVIDIA samples two new AI GPUs for China, both comply with US export restrictions
- AMD's next-gen MI400 AI GPU expected in 2025, MI300 AI GPU refresh in the works
- Helldivers 2 engine was discontinued in 2018: 'our crazy engineers had to do everything'
- TSMC's new fab in Japan built in 2 years: 24-hour work days, site is called 'Nightless Castle'
- TSMC's second fab site in Arizona, USA celebrates its 'topping out' milestone
- Helldivers 2 continues to outdo its duty, smashing through 700,000 concurrent players
- Intel CEO wants to make chips for AMD, Google, NVIDIA, and Qualcomm at Intel Foundry
- US will need 'CHIPS Act 2' investment into semiconductor manufacturing to be the best for AI
- Reddit files for IPO with NYSE, will allow longtime users to buy shares
- NVIDIA GeForce RTX 2080 modded from 8GB to 16GB of VRAM
- Intel's next-gen Xeon 'Clearwater Forest' CPUs rumored with 3D stacking with hybrid bonding
- The open-world Terminator game in development will be shown off on February 29
- Samsung spools up new semiconductor unit in Silicon Valley, will develop next-gen AGI chips
- SK hynix says its HBM supply is sold out for 2024, huge growth expected in 2025
- Apple details 12 spatial games that will blow your mind on the Vision Pro
- Intel unveils its new Intel 14A process node, ready for the future of AI chip production
- Intel eyes off 2026 as when it wants to beat TSMC at making the world's fastest chips
- This DVD-style optical disc could store 200TB of storage, enough for 2000 x PS5 game discs
- NVIDIA CEO: we need 14 different planets, 3 galaxies, 4 more suns to power future AI GPU tech
- Microsoft announces its chosen a chip design based on the new Intel 18A process node
- NVIDIA says next-gen B100 AI GPU will be 'supply constrained' as 'demand far exceeds supply'
- AMD Ryzen 8040 chips to get 'Neural Processing Unit' monitoring in Windows Task Manager
- NVIDIA posts gigantic 769% annual profit growth in Q4 FY24, shares continue to skyrocket
- Check out Unreal Engine 5 running through a regular web browser
- MSI Claw with Intel Meteor Lake APU loses to ASUS ROG Ally with AMD Ryzen APU in gaming tests
- Baird analysts increase NVIDIA stock target from $750 to $1050, because of next-gen Blackwell
- Crucial announces DDR5 Pro Memory: Overclocking Edition: 16GB, 24GB sticks at DDR5-6000
- AMD's next-gen Zen 6 'Medusa' CPUs reportedly launching with integrated RDNA 5 GPU
- PlayStation 5 Pro rumor: 'NVIDIA DLSS competitor' upscaling to test water before PlayStation 6
- Sony's upgraded PlayStation 5 Pro will be marketed as 120FPS, 4K gaming console
- Sony's beefed-up PlayStation 5 Pro in 2H 2024: ready for GTA 6 in 2025, says CNBC analyst
- Intel wants another $10 billion from the CHIPS Act and Biden administration
- Vietnamese buyer scammed: buys RTX 3060 Ti, gets a pair of womens shoes instead
- Intel Core i9-14900KS with 6.2GHz CPU clocks spotted early at French retailer for $800+
- MSI reveals new MAG GL PSU options: 1000W and 1250W with new 12V-2x6 power connectors
- MSI MPG 321URX QD-OLED gaming monitor: 32-inch 4K 240Hz launches with reduced $949 pricing
- The latest 12V-2x6 'H++' power connector can handle 675W of GPU power, ready for Blackwell
- NVIDIA teases its upcoming GTC 2024 event is all about AI, expect Blackwell B100 AI GPU unveil
- COLORFUL MEOW gaming laptop: AMD Ryzen 8040 'Hawk Point' APU and NVIDIA GeForce RTX 40 GPU
- Eurocom's new monster 780W AC adapter costs $428, supports MSI's new TITAN 18HX gaming laptop
- Cyberpunk 2077 with photorealistic mod running at 8K looks unbelievably good
- AOOSTAR GEM10 Mini-PC: AMD Ryzen 7 7840U APU and OCulink connectivity
- Intel Lunar Lake CPU teased: 8-core, 8-thread at 2.8GHz boost benchmarked
- NVIDIA rumored to mandate PCIe 6.0 16-pin power connector for GeForce RTX 50 series GPUs
- You can now buy ASUS's new 32-inch 4K 240Hz QD-OLED gaming monitor for $1299
- NVIDIA's supply chain has 'massive improvement' in AI GPU deliveries, lower delivery times now
- NVIDIA unveils its new Eos AI supercomputer with 4608 x H100 AI GPUs
- OpenAI's new Sora tool creates video from text input with incredible results
- Samsung's first 2nm chip order is from a Japanese startup which didn't order from TSMC
- Lian Li reveals its next-gen PC cases, cooling designs, and PSUs at Digital Expo 2024
- AMD Ryzen 9 7900X3D processor drops to $409 for the first time ever, grab yours now!
- NVIDIA GeForce RTX 2080 Ti modded with 22GB memory hits eBay for $500, ready for AI workloads
- USPTO says that AI models can't hold patents, only human beings can
- Intel's new Core i9-14900KS launches in March, limited edition CPU at 6.2GHz runs HOT
- Mark Zuckerberg: Meta Quest 3 is better than Apple Vision Pro, and it's 7x cheaper
- ChatGPT finally has a memory feature, will now remember what you've asked the AI
- Samsung gearing up its own OLED production line, won't be exclusive to Apple's new MacBook Pro
- FurMark 1.3.8 now supports GeForce RTX 40 SUPER series GPUs, next-gen FurMark 2.0 teased
- AMD Ryzen 8000G 'Hawk Point' APUs now supported in Ryzen Master Tuning Utility
- Sony's upgraded PlayStation 5 Pro console rumored to cost just $499 without the disc drive
- 'Dude, Microsoft just f***ed us' over the next-gen Xbox contract, says Intel source in new leak
- Intel's next-gen Lunar Lake Xe2 GPU architecture rumored to get adaptive sharpening filter
- Qualcomm's next-gen Snapdragon 8 Gen 5 might have upgraded 'Pegasus' CPU cores
- NVIDIA CEO Jensen Huang: AI market could be worth $2 trillion in the next 5 years
- NVIDIA market value surpasses Amazon, first time in 20 years: $650 billion added since Jan 1
- NVIDIA's new GeForce Game Ready 551.52 drivers are out, grab them here!
- Tulpar's new gaming handheld: Intel Meteor Lake CPU, Arc GPU, runs Windows 11
- AMD Ryzen 9 7945HX Mini-ITX 'Mobile on Desktop' motherboard now available for $519
- TSMC rumored to be teaming with SK hynix on next-gen HBM memory for next-gen AI GPUs
- Intel's new Core i9-14900KS processor teased again: 150W TDP and huge 6.2GHz boost
- MSI enables 256GB DDR5 memory support on its Intel 700, 600 series motherboards
- NVIDIA CEO Jensen Huang: data is the new gold, all countries should develop 'Sovereign AI'
- MSI Cubi N ADL with Intel N100: fanless Mini-PC with Intel Alder Lake-N CPU
- Microsoft's next-gen Xbox is being led by the Surface team, could be powered by Intel APU
- Deadpool & Wolverine trailer drops, you can check it out right here
- 25,300 x CableMod '12VHPWR' angled adapters recalled, they cause $74,000+ in property damage
- Huawei Vision rumor: Apple Vision Pro competitor, HALF the price, same Sony 4K micro-OLED tech
- HBM prices have skyrocketed by 500% thanks to AI GPU demand, with no signs of slowing down
- NVIDIA's new business unit: custom-chip development for AI chips, next-gen consoles, cars, more
- Sycom's new GeForce RTX 4080 SUPER features hybrid AIO cooling, dual Noctua fans
- NVIDIA's new RTX 2000 Ada: entry-level workstation GPU, with small form factor design
- AMD Ryzen 7 8700G built-in GPU overclocked to 3.3GHz, 25C reduction in temps with liquid metal
- AMD's next-gen Navi 48 GPU: 3.0GHz to 3.3GHz, up to RX 7900 XTX performance, not using GDDR7
- NVIDIA is the only one buying large amounts of GDDR7 for next-gen GPUs, AMD with 20Gbps GDDR6
- NVIDIA CEO recognized for GPUs and AI revolution, elected to National Academy of Engineering
- Overwatch 2 Season 9 is nearly here, will 'revitalize the Overwatch 2 experience'
- AI PCs expected to be close to 60% of total PC shipments by 2027 says analyst
- Russian man uses Open AI's ChatGPT-4 to find the perfect date on Tinder, AI bot talked to woman
- Xiaomi shows off Redmi G Pro Mini-LED gaming monitor: 27-inch 1440p 180Hz with DisplayPort 2.1
- Zephyr's new GeForce RTX 4080 SUPER Valkyrie has 280mm AIO cooler, sweet metallic style
- Intel loses patent fight in Germany, some Intel CPUs are now banned in the country
- Superman actor Henry Cavill says working on Warhammer 40K 'greatest privilege' of his career
- Minisforum V3 with AMD's new Ryzen 7 8840U 'Hawk Point' APU launches by end of March
- NVIDIA's new Grace Superchip loses to Intel Xeon Sapphire Rapids in HPC benchmarks
- Apple Vision Pro scalpers pricing the $3500 headset at up to a whopping $10,000
- This sealed Apple Vision Pro was signed by CEO of Apple Tim Cook, costs $28,000
- JPR: CPU industry has fully recovered from recession, AMD and Intel are booming again
- Analyst: TSMC the world's biggest semiconductor maker by revenue: beats Intel, Samsung, NVIDIA
- GKMtec K8 Mini-PC features AMD Hawk Point APU, up to 32GB RAM, 1TB storage with AR glasses
- Palit GeForce RTX 4080 SUPER PCB: there's room for two regular 8-pin PCIe power connectors
- TSMC building second fab in Japan, with 6nm and 7nm nodes coming to Japan production
- Apple Vision Pro survives 8-foot drop onto the ground, still works with shattered front
- Apex Legends: Breakout enables 120FPS for PlayStation 5, Xbox Series X/S consoles
- Russian President Vladimir Putin tells Tucker Carlson: Biden is not running the United States
- ASUS included in Fortune's new 2024 World's Most Admired Companies, its 9th time on the list
- Apple's expensive, revolutionary new Vision Pro gets the iFixit teardown treatment
- Avatar director James Cameron 'really, really blown away' by Apple Vision Pro headset
- Faraday partners with Arm and Intel on innovative SoC, will be built on Intel 18A process
- NVIDIA AI GPU dominance sees Goldman Sachs increase NVIDIA share price target by 28%
- AI scammer deepfaked CFO of company, scammed $25.6 million in a single meeting
- DDR5-10600 memory OC achieved on AMD Ryzen 7 8700G by overclocker
- Crucial's new T705 PCIe Gen5 SSD teased: up to 14.5GB/sec reads, up to 4TB capacity
- MSI's new RTX 4090 SUPRIM X 'Ruan Mei' Edition has a sweet green and gold style cooler
- V-Color introduces its new Manta DDR5 Xfinity MPOWER memory: up to 48GB DDR5-4800 CL40
- NVIDIA's new RTX 4080 SUPER Founder Edition PCB has less power phases than RTX 4080 FE
- US defense contractor Raytheon secures multi-chip package with AMD
- SK hynix: next-gen HBM4 memory will enter mass production in 2026 for next-gen AI GPUs
- AMD's new Ryzen 7 5700X3D now available: new mid-range AM4 socket CPU for $249
- Intel wants to be inside Microsoft's next-gen Xbox console, would be built in the USA
- Intel's next-gen Arrow Lake-S CPU teased with 24 threads, no Hyper-Threading support
- AMD Mobile on Desktop Mini-ITX mobo: support Hawk Point APUs, features 4 x 2.5GbE LAN ports
- Seasonic reveals VERTEX SAKURA Special Edition 1000W PSU: perfect for your high-end GPU
- AMD reportedly already got the contract to build a chip for Sony's next-gen PlayStation 6
- AMD and Sony are working on next-gen PlayStation gaming handheld: portable PS5 and PS4 games
- PlayStation 5 Pro hardware rumors: 4nm Zen 2 CPU, 56 GPU cores, faster 18Gbps GDDR6 memory January 2024
- Intel Bartlett Lake-S desktop CPUs: budget LGA 1700 processors, leaving Arrow Lake for high-end
- AMD's new Ryzen 8000G Hawk Point APUs now available: from $179 to $329
- Intel's new Xeon W9-3595X HEDT CPU: up to 60 cores with 4.6GHz benched early
- NVIDIA's new GeForce 551.31 drivers add support for new GeForce RTX 4080 SUPER
- ASUS X690E PRO WS workstation motherboard teased: AM5 socket, for Ryzen 7000 series CPUs
- MIT biotechnology student gets DOOM running on human E. coli gut bacteria
- MSI Claw gaming handheld is official: features 7-inch 1080p 48-120Hz VRR display
- ASUS announces quad-slot GeForce RTX 4080 SUPER with a massive Noctua cooler
- Tesla will spend billions of dollars on NVIDIA AI GPUs this year, will also buy AMD AI GPUs
- Intel's next-gen Nova Lake CPU: biggest architecture improvement in Intel history, on TSMC 2nm
- AMD's next-gen Zen 5 and new X870E motherboard detailed leaked, expected in second half 2024
- NVIDIA rumored to switch to Intel for advanced packaging for next-gen AI GPUs, away from TSMC
- Intel's next-next-gen Celestial and Druid GPU rumor: no discrete GPU, will be GPU Tile on APUs
- Apple has reportedly already sold 200,000 units of its upcoming Vision Pro headset
- NVIDIA moves up GeForce RTX 4080 SUPER reviews to January 31, gives reviewers another 24 hours
- Redditor passively cools GeForce RTX 3080 with 10 tower heatsinks, looks incredible
- AMD powers 90% of the AI PC market so far, says AI chips are in volume production
- COLORFUL intros 'Loong Edition' series GeForce RTX 40 GPUs and Z790 motherboards
- NVIDIA commands 90% of AI GPU market, competitors are 'years from catching up'
- NVIDIA GeForce RTX 4090 doesn't lose much performance in external GPU using OCulink
- Rebellions is a South Korean fabless AI chip startup, building Rebel AI chip with Samsung
- Japanese government no longer requires businesses to submit information with floppy disks
- Samsung to show off the world's fastest GDDR7 memory at 37Gbps for next-gen GPUs at ISSCC
- Intel's next-gen Lunar Lake-MX mobile CPUs expected to use Samsung LPDDR5X on-package memory
- AMD's next-gen Kraken Point APU: 4 x Zen5 and 4 x Zen5c cores with RDNA 3.5 GPU teased
- Minisforum HX100G Gaming Mini-PC packs AMD Ryzen 7 7840HS and Radeon RX 6650M GPU
- MSI MPOWER Z790M: new budget-focused OC board, supports DDR5-8000+ for $199
- Intel next-gen flagship Battlemage GPU leaked specs: performance similar to RTX 4070 SUPER
- Intel rumored to not have next-gen Battlemage GPUs for laptops, only discrete graphics cards
- Intel CEO: next-gen Panther Lake CPUs have 2x AI performance over Arrow Lake
- Apple's next-gen iPhone runs AI on-device, rather than using the cloud
- Apple Car delayed until 2028, less ambitious design with downgrade to Level 2+ autonomy
- AMD's new Ryzen 7940HX 'Dragon Range' CPU tested inside of ASUS TUF Gaming laptop
- Samsung 990 EVO SSD released: hybrid Gen5 + Gen4 SSD with up to 5GB/sec reads starts at $124.99
- TSMC announces it's preparing for 1nm production, new cutting-edge facility in Taiwan
- Intel confirms: Xeon 'Clearwater Forest' CPU series will feature Darkmont-based E-Cores
- Intel to debut Thunderbolt 5 with Arrow Lake CPUs, enables PCIe Gen4 x4 for external GPUs
- AMD confirms next-gen Strix Halo APU: up to 16-core CPU based on Zen 5, 40 RDNA 3.5 GPU cores
- Cooler Master announces V Platinum V2 PSUs: high-power use like advanced workstations, and AI
- AMD's new Instinct MI300X AI GPUs are inside of LaminiAI LLM Pods, with 8 x Instinct MI300X pod
- The new 2024 Lincoln Nautilus luxury SUV features 48-inch 4K screen across entire dashboard
- NVIDIA GeForce RTX 4080 SUPER, RTX 4070 Ti cards are floating around, released early for some
- Samsung's Dolby Atmos competitor launches this year, some TVs will just need a firmware update
- Intel's low-power Arrow Lake-U CPUs: Intel 3 process, cheap alternative to next-gen Lunar Lake
- AMD says its Zen4c core frequencies for Ryzen 8000G APU series will max out at 3.7GHz
- HBM industry revenue to double by 2025, thanks to next-gen AI GPUs by AMD, NVIDIA, others
- NVIDIA CEO Jensen Huang visits Taiwan, preparing for Hopper H200 and Blackwell B100 AI GPUs
- OpenAI founder Sam Altman is building a global network of dedicated chip fabrication plants
- Intel Xeon 'Granite Rapids' CPUs get major cache upgrade: top SKU now has 480MB of L3 cache
- Intel Arrow Lake-S CPU details: should have 24 cores, 125W default TDP
- Apple Vision Pro costs $3499, but without AppleCare+ the repair cost is an insane $2400
- NVIDIA GeForce RTX 4090M, RTX 4080M appear in China: laptop GPUs marketed as desktop GPUs
- Meta's long-term vision for AGI: 600,000 x NVIDIA H100-equivalent AI GPUs for future of AI
- Sabrent's next-gen Rocket 5 Gen 5 SSD runs at just 60C under load, without a huge heatsink
- GALAX GeForce RTX 4070 SUPER HOF OC Lab 'Master-X' announced with 320W TGP, 211MHz+ OC
- GEEKOM APro8 Max Mini-PC: AMD Ryzen 9 8940HS and Radeon RX 7600M XT inside
- Starlink's new 10Gbps satellite internet costs $1.25M upfront, then $750,000 per month after
- Eurocom Raptor X17 laptop: up to Core i9-14900HX, RTX 4090, 24TB SSD, 96GB RAM
- The new ASUS GeForce RTX 4060 LP BRK is a low-profile beast GPU with a triple-fan cooler
- AYANEO's new AM02 Mini-PC system looks like classic Nintendo NES console, with a touchscreen
- BLUETTI's new AC180T portable power station, MultiCooler with fridge, freezer, ice maker teased
- V-Color unveils 192GB DDR5-7200 RDIMM memory kits, ready to overclock on AMD TRX50 motherboards
- Overwatch 2 Season 9 will have ALL heroes with their own passive healing abilities
- GIGABYTE's new AORUS 17X gaming laptop: up to Core i9-14900HX, up to RTX 4090
- ASUS ZenScreen Fold OLED MQ17QH: foldable OLED portable monitor costs $2000
- Intel's new Core i7-14790F CPU: 16 cores at up to 5.4GHz for the Chinese market
- MSI Stealth 18 AI Studio A1V laptop: 18-inch 4K Mini-LED display, Core Ultra 9 CPU, RTX 4090
- ASUS ROG Strix RTX 4080 SUPER listed for $1700+ USD in the UK, 40% above NVIDIA MSRP
- USB4 2.0 spec with 80Gbps of bandwidth tested in latest Windows 11 Insider Preview build
- Alienware's new M18 R2 is a 'performance juggernaut' new gaming laptop, teased at CES 2024
- Alienware's new M16 R2 gaming laptop: up to 1600p 240Hz display, up to 64GB RAM and 8TB SSD
- MSI's new Cyborg 14 laptop: 14-inch 1200p 144Hz display, up to RTX 4060, see-through design
- The next Battlefield will have 'most realistic, exciting destruction effects in the industry'
- Got a delidded Intel LGA1700 CPU? Check out EK's new AIO Direct Die cooler
- OneXplayer X1 gaming handheld: Intel Core Ultra 7 155H, attachable controllers, OCulink support
- AMD Ryzen 8000G 'Phoenix 2' desktop APU: limited to PCIe 4.0 x4 for GPU, PCIe 4.0 x2 for SSDs
- Apple Vision Pro headset should feature high-end M2 chipset, which packs more GPU cores
- NVIDIA secures $1 billion+ AI GPU order from Indian data center operator Yotta
- AMD Radeon RX 79000M mobile GPUs were MIA in gaming notebooks at CES 2024
- NVIDIA GeForce GTX 295 turns 15 years old, featured two PCBs and two GPUs
- Samsung shows off world's first transparent Micro-LED screen at CES 2024
- Intel Arc Battlemage Xe2 GPUs confirmed: in the lab already, next-gen Celestial Xe3 GPUs teased
- Minisforum's new UH185 Ultra Mini-PC features Intel Core Ultra 'Meteor Lake' CPU
- Lenovo unveils new GeForce RTX 4090 D, RTX 40 SUPER series Legion graphics cards at CES 2024
- XMG's new NEO 17 gaming laptop: Intel Core i9-14900HX + NVIDIA GeForce RTX 4090
- Beware: scammers are selling RTX 4090 cards without GPUs, counterfeit RTX 4090s on the loose
- XMG's new 15.3-inch laptop features Intel Core Ultra 'Meteor Lake' CPU, no discrete GPU
- Panasonic's new 2024 OLED TVs are the world's first with Amazon Fire TV built-in
- Patriot Viper PV573 Gen5 SSD: up to 14GB/sec with low-profile blower cooler, runs below 45C
- LG's new Signature OLED T uses transparent OLED technology teased at CES 2024
- TCL unveils monster 115-inch Mini-LED Quantum Dot TV at CES 2024
- Intel 14th Gen Core Desktop Non-K, 14th Gen Core-HX, and Core 100U mobile series CPUs launched
- ASUS BTF ecosystem: motherboards, graphics cards, PC cases with hidden cables
- ASUS intros ROG Maximus Z790 Hero BTF motherboard with hidden power connectors
- Intel's next-gen Arrow Lake is first gaming CPU with AI, Lunar Lake: 3x faster NPU for laptops
- ASUS ROG NUC official: up to Intel Core Ultra 9 185H 'Meteor Lake' CPU, RTX 4070 GPU
- Samsung's new Q990D soundbar has HDMI 2.1 connectivity, allows for 4K 120Hz passthrough
- You can win this one-of-a-kind custom RTX 4080 SUPER-themed Falcon Northwest Talon PC
- VESA's new DisplayPort 2.1a specs support longer UHBR cables
- Samsung 990 EVO: world's first hybrid PCIe 4.0 x4 and PCIe 5.0 x2 SSD
- HP's new OMEN Transcend 14 gaming laptop features IMAX Enhanced 2.8K 120Hz VRR OLED display
- MAINGEAR's new NV9 ZERO, ZERO Drop PCs use MSI's clutter-free design ethos
- Samsung's new Smart Monitor M80D: 32-inch 4K that works without your PC
- Samsung's new S95D QD-OLED TV with OLED Glare Free tech: uses AI, up to 77 inches with 4K 144Hz
- Samsung's new Neo QLED 8K uses new NQ8 AI Gen3 processor, first TV with 240Hz refresh
- Cooler Master's new V8 3DVC air cooler, G11 AIO cool 300W of CPU heat with AIO cooler using AI
- NVIDIA RTX 5880 ADA workstation GPU launched with 48GB VRAM
- Acer worked with Qualcomm on its new router: world's first with 5G and Wi-Fi 7
- Acer Swift X 14 laptop: Intel Core Ultra CPU, RTX 4070 GPU, 2880 x 1880 OLED display
- Acer intros Swift Go AI laptops with Intel's new Core Ultra 'Meteor Lake' CPUs
- Frontier supercomputer with 3000 x AMD Instinct MI250X cards: 1 trillion parameter LLM run
- Intel Core i5-14490F 'Black Edition' CPU: 10 cores at up to 5.1GHz with 65W TDP
- CoolerMaster's new X Mighty Platinum 2800W PSU can power 4 x RTX 4090s at once
- ASUS preps ROG NUC, its first ultra-compact gaming PC will debut at CES 2024
- Sabrent's Rocket 5 Gen5 SSD with 14GB/sec+ speeds only needs the heatsink on your motherboard
- NVIDIA CEO Jensen Huang wins 'Best CEO of 2023' award by The Economist
- NVIDIA GeForce RTX 40 SUPER series pricing leaked, here's what to expect
- Dell's new 2024 XPS laptops teased: XPS 16, 14, 13 laptops all powered by Intel Core Ultra CPUs
- Razer's next-gen Blade 18 gaming laptop: world's first with 18-inch 4K 165Hz display
- MSI TITAN 18 HX gaming laptop: 14900HX + RTX 4090 with 270W power mode, 400W power supply
- Sabrent's new USB-C Universal Docking Station is the ultimate docking station
- AMD Ryzen 7 8700G: 2.9GHz Radeon 780M GPU clocks confirmed in new leak
- NVIDIA and AMD driving massive profits for semiconductor industry, highest since 2009
- Xiaomi Redmi G Pro 2024 laptops: Core 14th Gen 'HX' or Snapdragon X Elite CPUs
- Minisforum V3: world's first AMD Ryzen 8040 'Hawk Point' tablet, ready in Q1 2024
- VESA Adaptive-Sync 1.1a standard announced for upcoming Dual-Mode gaming monitors
- ASUS teases new Zenbook laptop with two full-sized OLED displays, full-sized keyboard
- NVIDIA officially begins teasing its upcoming GeForce RTX 40 SUPER series cards
- LG wants to make Life Good with new LG Styler, its new clothing care management products
- LG teases its new 2024 OLED TVs with 4x higher AI performance, even better image quality
- Samsung preps three new anti-glare Odyssey OLED gaming monitors, full unveil at CES 2024
- Vizio settles lawsuit for $3 million, says its 60Hz TVs had 120Hz 'effective refresh rate'
- Neuchips will show off its revolutionary Raptor Gen AI accelerator at CES 2024
- NVIDIA's new 'Skinny Joe' AI GPU teased, 700W TDP, designed for China with new US export rules
- AMD Ryzen 5 8600G desktop APU: 600MHz faster CPU, 900MHz faster integrated RDNA 3 GPU
- CoolerMaster preparing fanless 1100W power supply with 12VHPWR power connector
- Intel's new Core i9-14900KS smiles for the camera, should rock 6.2GHz CPU clock speed
- GIGABYTE plans 3 different GeForce RTX 4090 D cards, no factory OC included
- US President Joe Biden requested ASML to cancel shipments of its machines to China
- NVIDIA giving away custom GeForce RTX 4080 Cyberpunk 2077 Edition GPU in China
- NVIDIA's new GeForce 546.34 WHQL drivers add support for RTX 4090 D graphics card
- LG teases new 'MyView' 4K smart monitors with a virtually borderless design
- NVIDIA GeForce RTX 4080 SUPER rumor: 16GB of faster GDDR6X memory at 23Gbps
- NVIDIA's tweaked H20 AI GPU enters mass production in Q2 2024, destined for China